全文预览

数字秒表设计VHDL

上传者:qnrdwb |  格式:docx  |  页数:13 |  大小:0KB

文档介绍
when 2=>sel<=010;daout<=dain2; when 3=>sel<=011;daout<=dain3; when 4=>sel<=101;daout<=dain4; when 5=>sel<=101;daout<=dain5; end case; end if; end process; end a;Р三.系统仿真РРРРРРРРРРРРРРР Р数字秒表设计Р Р〔2〕十进制Р〔3〕蜂鸣器Р〔4〕译码器Р〔5〕限制器РРРРРР Р数字秒表设计Р Р四.心得体会Р起先做设计时总是会犯一些错误,只有经过不停的改错不停的编译才能得到正确的程序。在编程时,我充分运用了构造化的思想,这样程序检查起来也比拟便利,调试时也给了我很大便利,只要一个模块一个模块的进展调就可以了,充分表达了构造化编程的优势。在设计中要求我要有耐性和毅力,还要细心,稍有不慎,一个小小的错误就会导致结果的不正确,而对错误的检查要求我要有足够的耐性,通过这次设计和设计中遇到的问题,也积累了必须的经历,对以后从事集成电路设计工作会有必须的协助。РРРРРРРРРРРРРР在应用VHDL的过程中让我真正领悟到了其并行运行与其他软件依次执行的差异及其在电路设计上的优越性。用VHDL硬件描述语言的形式来进展数字系统的设计便利敏捷,利用EDA软件进展编译优化仿真极大地削减了电路设计时间和可能发生的错误,降低了开发本钱,这种设计方法在数字系统设计中发挥越来越重要的作用。Р РРР本文来源:网络收集与整理,如有侵权,请联系作者删除,谢谢!

收藏

分享

举报
下载此文档