全文预览

山大eda报告

上传者:似水流年 |  格式:docx  |  页数:27 |  大小:703KB

文档介绍
,以使管脚分配生效。Р7、下载程序到试验箱验证实验的正确性,观察现象,对错误的地方进行改正。Р五.实验结果Р六、实验结果与现象Р当设计文件加载到试验箱后,拨动实验系统中的拨动开关模块的 K0-K7 七位拨动开关,如果拨动开关的值为“1”即拨动开关的开关置于上端,表示此人通过表决,对应的LED(LED2到LED8显示每个人投票的结果)等被点亮,反之不然。当LED被点亮的个数大于或等于四时 LED 模块的 LED1 被点亮,否则 LED1不被点亮。同时数码管上显示通过表决的人数。Р 实验五计数器设计Р一、实验目的Р计数器是实际中最为常用的时序电路模块之一,本实验的主要目的是掌握使用HDL描述计数器类型模块的基本方法。Р二、实验仪器与器材Р1.EDA开发软件一套Р2.微机一台Р3.实验开发系统一台Р4.打印机一台Р5.其他器材与材料若干Р三、实验说明Р计数器是数字电路系统中最重要的功能模块之一,设计时可以采用原理图或HDL语言完成。下载验证时的计数时钟可选连续或单脉冲,并用数码管显示计数值。Р四、实验要求Р1.设计一个带有计数允许输入端、复位输入端和进位输入端的十进制计数器。Р2.编制仿真测试文件,并进行功能仿真。Р3.下载并验证计数器功能。Р4.为上述设计建立元件符号。Р5.在上述基础上分别设计按8421BCD码和二进制计数的100进制同步计数器。Р五、实验结果Р 十进制计数器程序:Р library ieee;Рuse ieee.std_logic_1164.all;Рuse ieee.std_logic_unsigned.all;Рentity counter10 isРport(Р?reset,clk,en: in bit;Р?co : out bit;Р?q : out std_logic_vector(0 to 3)Р );Рend entity counter10;

收藏

分享

举报
下载此文档