led<="1011011"; when "0001"=> led<="0000110"; when "0000"=> led<="0111111"; when others=>null; end case; end process; end; (4)洗衣机状态模块洗衣机的状态是很明显的状态机,在这个过程中有四个状态:正转、暂停、反转、暂停。当状态" /> led<="1011011"; when "0001"=> led<="0000110"; when "0000"=> led<="0111111"; when others=>null; end case; end process; end; (4)洗衣机状态模块洗衣机的状态是很明显的状态机,在这个过程中有四个状态:正转、暂停、反转、暂停。当状态" />

全文预览

洗衣机控制器设计EDA报告

上传者:业精于勤 |  格式:doc  |  页数:21 |  大小:0KB

文档介绍
"1001111"; when "0010"=> led<="1011011"; when "0001"=> led<="0000110"; when "0000"=> led<="0111111"; when others=>null; end case; end process; end; (4)洗衣机状态模块洗衣机的状态是很明显的状态机,在这个过程中有四个状态:正转、暂停、反转、暂停。当状态时间变化为 0时,则进入下一个状态。在编写的过程中会运用状态机的编写。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity zhuangtai is port( rst, clk,start,f t:in std_logic; q:out std_logic_vector(2 downto 0)); end; architecture one ofzhuangtai is signal p:std_logic_vector(7 downto 0); signal f:std_logic; type states is(st0,st1,st2,st3); --状态机的定义 signal c_st:states; begin process(clk) --状态的转换 begin ifrst='0' then c_st<=st0; else ifft='1' then ee<="0000";ff<="0000";q<="000"; elsif clk'event and clk='1' then ifee<="0000" and ff<="0000" then f<='1'; elsif ee="0000" then ff<=ff-1;ee<="1001";--f<='0';

收藏

分享

举报
下载此文档