2 then Рring<='0';Рwe<='1';Рend if;Рend if;Рwhen others=>null;Рend case;Рend if;Рend if;Рend if;Рled_d<=led_d8&led_d7;Рalarm<=ring;Рend " /> 2 then Рring<='0';Рwe<='1';Рend if;Рend if;Рwhen others=>null;Рend case;Рend if;Рend if;Рend if;Рled_d<=led_d8&led_d7;Рalarm<=ring;Рend " />

全文预览

数字系统课程设计

上传者:火锅鸡 |  格式:doc  |  页数:16 |  大小:489KB

文档介绍
enРled_d8<="1000";Рt1=2 thenРled_d8<="1100";Рend if;Рend if;Рt1>2 then Рring<='0';Рwe<='1';Рend if;Рend if;Рwhen others=>null;Рend case;Рend if;Рend if;Рend if;Рled_d<=led_d8&led_d7;Рalarm<=ring;Рend process;Рshowing:process(clk,we)Рt:integer range 0 to 25000000;Рt1:integer range 0 to 50000; Рvariable d0,d1,d2,d3:std_logic_vector(7 downto 0);Рvariable list:std_logic_vector(3 downto 0):="1110"; РbeginРif clk'event and clk='1' thenРtf is Рwhen 0=>d0:="11111111";d1:="11111111";Рd2:="11111111";d3:="11111111";Рwhen 1=>d0:="00110000";d1:="11111111";Рd2:="11111111";d3:="11111111";Рwhen 2=>d0:="00110000";d1:="00110000";Рd2:="11111111";d3:="11111111";Рwhen 3=>d0:="00110000";d1:="00110000";Рd2:="00110000";d3:="11111111";Рwhen 4=>d0:="00110000";d1:="00110000";Рd2:="00110000";d3:="00110000";Рend case;

收藏

分享

举报
下载此文档