测信号产生的脉冲进行计数,十进制计数器具有集束使能、清零控制和进位扩展输出的功能。使能信号和清零信号由闸门控制模块的控制信号发生器所产生来对4个级联十进制计数器周期性的计数进行控制。Р(1)十进制计数器元件的设计Р十进制计数器的程序如下:Рlibrary ieee;Рuse ieee.std_logic_1164.all;Рuse ieee.std_logic_unsigned.all;Рentity jishu10 isРport(clk,rst,en:in std_logic;Рcq:out std_logic_vector(3 downto 0);Рcout:out std_logic);Рend jishu10;Рarchitecture behav of jishu10 isРbeginРprocess(clk,rst,en)Рvariable cqi:std_logic_vector(3 downto 0);РbeginРif rst='1' then cqi:=(others=>'0');Рelsif clk'event and clk='1' thenРif en='1' thenРif cqi<9 then cqi:=cqi+1;Рelse cqi:=(others=>'0');Рend if;Рend if;Рend if;Рif cqi=9 then cout<='1';Рelse cout<='0';Рend if;Рcq<=cqi;Рend process;Рend behav;Р在源程序中COUT是计数器进位输出;CQ[3..0]是计数器的状态输出;CLK是始终输入端;RST是复位控制输入端,当RST=1时,CQ[3..0]=0;EN是使能控制输入端,当EN=1时,计数器计数,当EN=0时,计数器保持状态不变。编译成功后进行仿真,其仿真波形如下: