全文预览

基于FPGA的超声波测距电路和其扩展应用EDA技术课程设计

上传者:蓝天 |  格式:docx  |  页数:19 |  大小:238KB

文档介绍
10us的高电平信号; Р 2、模块自动发送8个40khz的方波,自动检测是否有信号返回;Р 3、有信号返回,通过IO输出一高电平,高电平持续的时间就是超声波从发射到返回的时Рsystem.VHDРlibrary IEEE;Рuse IEEE.std_logic_1164.all;Рuse IEEE.std_logic_arith.all;Рuse IEEE.std_logic_unsigned.all;Рlibrary altera;Рuse altera.altera_syn_attributes.all;Рentity system isР?portР?(Р clk_in ,ECHO_N,SWITCH_N: in std_logic;Р TRIG_N,BUZZ_N: out std_logic;Р led_dig : out std_logic_vector(7 downto 0);Р led_sel : out std_logic_vector(3 downto 0)Р?);Рend system;Рarchitecture Behavioral of system isРsignal clk_100kHz,clk_1KHz,BUZZ:std_logic;Рsignal led_sel_temp:std_logic_vector(3 downto 0);Рsignal s1,s2,m1,m2,m3:std_logic_vector(3 downto 0);Рsignal T,M:UNSIGNED(11 downto 0);Рcomponent freq_div isР port(clk_in_25MHz:in std_logic;Р clk_out_100KHz:out std_logic;Р clk_out_1KHz:out std_logic);Рponent;

收藏

分享

举报
下载此文档