全文预览

毕业论文-基于fpga的8位alu设计与仿真终稿

上传者:非学无以广才 |  格式:doc  |  页数:23 |  大小:362KB

文档介绍
x+plusⅡ上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。Р2.2.2 Quartus || 概述РQuartus II 是Altera公司的综合性可编程器件PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。Р Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Р Р2.2设计思想Р设计主要是把整个电路分为算术电路单元和逻辑电路单元,最后,再把算术单元电路和逻辑单元电路组合起来,成为功能完整的算术逻辑单元。组合时,选择信号线S0、S1应分别相连,然后再利用另一选择线S2,来决定选择逻辑电路的结果作为输出(S2=1)还是选用算术电路的结果作为输出(S2=0),如图1所示。Р算术电路单元Р逻辑电路单元Р2*1Р多工器Р输出Р S0Р S1РS2РC0РAРBР图1 ALU的电路方块Р该ALU单元采用模块化设计。共划分了2个模块:控制与逻辑运算电路模块(Control)、加减法电路模块(Addsub)。总体构成如图2所示。Р图2 总体结构图Р ALU模块图如图3所示。图中A,mand为功能选择输入;CIN为加减法的进位和借位输入;COUT为加减法的进位和借位的输出;Resulta和Resultb为2个8位的输出,乘法时Resultb存放积的高8位,Resulta存放积的低8位,除法时Resulta 存放商,Resultb存放余数。Р图3 ALU 模块

收藏

分享

举报
下载此文档