全文预览

基于VHDL的乒乓球逻辑电路设计

上传者:菩提 |  格式:doc  |  页数:14 |  大小:0KB

文档介绍
可以击球的范围,则a方得分,adda=1并返回给控制单元。Р 其仿真波形如下:Р 波形一Р 波形二Р 由波形图可以看出没来一个脉冲,球就像前移一步。当b发球时(即sb为1时),moveout(2)=1即是球从moveout(2)开始向左移动,没来一个脉冲就向左移动一步。Moveout(12)=1或moveout(11)=1时,也就是球到达a方的可击球范围时,acanhit=1表示此时a方可以击球。当moveout(13)=1或moveout(12)=1时,即球已过一定得范围,则addb=1,表示b方得一分。Р(4). Showscore模块Рlibrary ieee;Рuse ieee.std_logic_1164.all;Рuse ieee.std_logic_arith.all;Рuse ieee.std_logic_unsigned.all;Рentity showsocre isР port(clk:in std_logic; ---扫描脉冲Р ascoreh: in std_logic_vector(0 downto 0); ---得分输入Р ascorel: in std_logic_vector(3 downto 0);Р bscoreh: in std_logic_vector(0 downto 0);Р bscorel: in std_logic_vector(3 downto 0);Р cs: out std_logic_vector(3 downto 0); ---位选输出Р score: out std_logic_vector(6 downto 0)); ---得分输出Рend showsocre;Рarchitecture behavior of showsocre isРsignal css: integer range 3 downto 0;

收藏

分享

举报
下载此文档