全文预览

采用测频原理的数字频率计

上传者:qnrdwb |  格式:ppt  |  页数:20 |  大小:156KB

文档介绍
位显示,能自动根据7位十进制计数的结果,自动选择高4位有? --效数据的频率计。?--高4位进行动态显示。小数点表示是千位,即KHz。?--最后修改日期:2004.4.9。?library ieee;?use ieee.std_logic_1164.all;?use ieee.std_logic_unsigned.all;?entity plj is? port ( start:in std_logic; --复位信号? clk :in std_logic; --系统时钟? clk1:in std_logic; --被测信号? yy1:out std_logic_vector(7 downto 0); --八段码? w1 :out std_logic_vector(3 downto 0)); --数码管位选信号?end plj;Р接下页Рarchitecture behav of PLj is?signal b1,b2,b3,b4,b5,b6,b7:std_logic_vector(3 downto 0); ? --十进制计数器?signal bcd:std_logic_vector(3 downto 0); --BCD码寄存器?signal q :integer range 0 to 49999999; --秒分频系数?signal qq : integer range 0 to 499999; --动态扫描分频系数?signal en,bclk:std_logic; --使能信号,有效被测信号?signal sss : std_logic_vector(3 downto 0); --小数点?signal bcd0,bcd1,bcd2,bcd3 : std_logic_vector(3 downto 0); ? --寄存7位十位计数器中有效的高4位数据?beginР接下页

收藏

分享

举报
下载此文档