全文预览

第4章_VHDL基础

上传者:业精于勤 |  格式:pptx  |  页数:100 |  大小:0KB

文档介绍
ic_vector 被隐形打开 6 库和程序包的语法: library < 设计库名>; use < 设计库名>.< 程序包名>.all ; 一般 VHDL 代码中库和程序包的调用语句: library ieee ; use ieee.std_logic_1164.all ; use ieee.std_logic_arith.all; use ieee.std_logic_signed.all ; use ieee.std_logic_unsigned.all ; --最常用--计数器常用 3. 实体实体作为一个设计对象的表层设计单元, 其功能是对这个设计对象与外部电路进行接口描述,是设计对象对外的一个通信界面。实体的语句格式: entity e_name is port ( p_name : port_m data_type ; ... p_name : port_m data_type ); end entity e_name ; entity 实体名 is port ( a : in bit ; b : in bit ; y : out bit) ; end 实体名; 端口名数据类型端口模式 ab y? 端口模式有以下几种类型:(方框代表一个设计实体) in out buffer inout 4. 结构体结构体用于描述设计对象的内部结构以及实体端口间的逻辑关系。结构体的语句格式: architecture arch_name of e_name is [说明语句] begin 功能描述语句 end architecture arch_name ;

收藏

分享

举报
下载此文档