input_money:=input_money+"00010100";--011 表示 20 元纸币Р when "100"Р=>input_money:=input_money+"00110010";--100 表示 50 元纸币Р when "101"Р=>input_money:=input_money+"01100100";--101 表示 100 元纸币Р when other" /> input_money:=input_money+"00010100";--011 表示 20 元纸币Р when "100"Р=>input_money:=input_money+"00110010";--100 表示 50 元纸币Р when "101"Р=>input_money:=input_money+"01100100";--101 表示 100 元纸币Р when other" />

全文预览

地铁售票系统模拟

上传者:相惜 |  格式:docx  |  页数:14 |  大小:553KB

文档介绍
"Р=>input_money:=input_money+"00010100";--011 表示 20 元纸币Р when "100"Р=>input_money:=input_money+"00110010";--100 表示 50 元纸币Р when "101"Р=>input_money:=input_money+"01100100";--101 表示 100 元纸币Р when others =>input_money:=input_money;Р end case;Р if (input_money>=total_price) thenР money_register<="01"; --当投入总钱币大于等于总票价时,Р--钱币寄存器将钱存起来Р current_state<=ticket_out_state;Р end if;Р end if;Р when ticket_out_state=>Р count_out<=count_out_register;Р ticket_out<='1';--送出车票Р change:=input_money-total_price;--算出应找的零钱Р if (change>"00000000") thenР current_state<=money_out_state; --进入找零状态Р else current_state<=start_state; --回到初始状态Р end if;Р when money_out_state=>Р money_out<=change;--确定找零数Р money_register<="11";--钱币寄存器给出零钱Р current_state<=start_state;--交易结束,回头初始状态Р end case;Рend if;Рend process;Рend behave;

收藏

分享

举报
下载此文档