全文预览

小功率数控直流电压源课程设计

上传者:hnxzy51 |  格式:doc  |  页数:12 |  大小:0KB

文档介绍
,所以还需要再外加译码器。数显译码驱动采用两片74LS47集成块,74LS47为四线-七段译码器,它把从计数器输出的二-十进制的8421码转换成十进制码,并驱动数码管显示数码。上面的数码管表示低位,下面的数码管表示高位。Р图二数显电路Р3 防止溢出电路的设计Р题目要求电压增加到9.9V以后不再增加,减小到0V以后不再减小。因此,可以根据9.9V和0V的特点利用一个三态门和逻辑门电路来实现。当电压为9.9V时计数器的输出端上第一和第四根线上为高电平,第二和第三根线上为低电平。因此画出真值表,转化成逻辑函数式得到的门电路控制图为下图的上半部分。同理,当电压为0V时计数器的输出端上四根线都为低电平,画出真值表,转化成逻辑函数Р式得到的门电路控制图为下图的下半部分。Р图三防止溢出电路Р4 数模转换电路的设计Р数模转换电路采用两块DAC0832集成块,它是一个8位数/模转换器,这里只使用Dl0,Dl1,Dl2,Dl3输入端。由于DAC0832不包含运算放大器,所以需要外接一个运算放大器才构成完整的DAC。低位DAC的输出通过运算放大器转换成对应的电压,高位DAC的输出也通过运算放大器转换成对应的电压,但是放大倍数为低位的十倍。然后把他们送入反相比列运算放大器,运放将其转换成与数字端输入的数值成正比的模拟输出电压Р。Р图四数模转换电路Р5 稳压电路的设计Р调整输出级采用运放做射级跟随器,使调整管的输出电压精确地与D/A转换器输出电压保持一致。调整管采用大功率达林顿管,通过两级电流放大后确保电路的输出电流值一定达到设计要求。Р图五稳压电路Р四电路仿真结果记录及分析Р如图a所示,开始仿真时,数码管显示为0,电压表显示的输出电压为0.05V。有一点误差,但误差不超过0.1V。Р图aР如图b所示,当低位计数到十时产生了向高位的进位。随着输出电压的增大,数码管的显示与电压表的误差减小出现了相同。

收藏

分享

举报
下载此文档